Re/Coder

知識蓄積備忘録/State-of-the-Ars

2016-05-31から1日間の記事一覧

【VHDL】バレル・シフト回路とrange-based loop

バレル・シフト回路 以下に,バレル・シフト回路のVHDLソースコードを表示する. -- Barrel Shifter library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; entity BShifterNbit is generic (N : integer…

【VHDL】パリティ回路とセンシティビティリスト

パリティ回路 以下に,パリティ回路のVHDLソースコードを表示する. -- Even Parity Circuit library IEEE; use IEEE.std_logic_1164.all; entity ParityNbit is generic (N : integer := 4); port ( A : in std_logic_vector(N - 1 downto 0); P : out std_…