Re/Coder

知識蓄積備忘録/State-of-the-Ars

VHDL

【VHDL】7セグメントLEDディスプレイ×4に対応した10進数カウンタ

VHDLによる設計 チャタリング除去回路<ELIMINATOR.vhdl> library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; use IEEE.numeric_std.all; entity ELIMINATOR is port ( CLK : in std_logic; SW : in std_logic; SOUT</eliminator.vhdl>…

【VHDL】デコーダ回路

3to8デコーダ回路 以下に,3to8デコーダ回路のVHDLソースコードを表示する. -- Barrel Shifter used Decoder library IEEE; use IEEE.std_logic_1164.all; entity Decoder3to8 is port ( CBA : in std_logic_vector(2 downto 0); D : out std_logic_vector(…

【VHDL】バレル・シフト回路とrange-based loop

バレル・シフト回路 以下に,バレル・シフト回路のVHDLソースコードを表示する. -- Barrel Shifter library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; entity BShifterNbit is generic (N : integer…

【VHDL】パリティ回路とセンシティビティリスト

パリティ回路 以下に,パリティ回路のVHDLソースコードを表示する. -- Even Parity Circuit library IEEE; use IEEE.std_logic_1164.all; entity ParityNbit is generic (N : integer := 4); port ( A : in std_logic_vector(N - 1 downto 0); P : out std_…