Re/Coder

知識蓄積備忘録/State-of-the-Ars

2016-06-01から1ヶ月間の記事一覧

【VHDL】Mealy型状態遷移回路を用いた30円の自動販売機の設計

Mealy型状態遷移回路を用いた30円の自動販売機の設計 以下に,Mealy型状態遷移回路を用いた30円の自動販売機のVHDLソースコードを表示する. -- Vending Machine of Mealy State library IEEE; use IEEE.std_logic_1164.all; entity MealyState30 is port ( …

【VHDL】デコーダ回路

3to8デコーダ回路 以下に,3to8デコーダ回路のVHDLソースコードを表示する. -- Barrel Shifter used Decoder library IEEE; use IEEE.std_logic_1164.all; entity Decoder3to8 is port ( CBA : in std_logic_vector(2 downto 0); D : out std_logic_vector(…