Re/Coder

知識蓄積備忘録/State-of-the-Ars

2016-01-01から1年間の記事一覧

【Survey】Body Integrated Programmable Joints Interface

はじめに 本エントリは,ヒューマンコンピュータインタラクション論文紹介 Advent Calendar 2016 - Qiitaの21日目です. 本日紹介する論文は,CHI2016で発表された『Body Integrated Programmable Joints Interface(Best Paper Honorable Mention)』です. …

【備忘録】Xbox One Kinectを複数台使いたいときに...

Xbox One Kinect(Kinect v2以降のKinect)を複数台使いたいときは,libfreenect2を使えば良いらしいです. github.com ↑ 公式のGithub インストールの手順を詳しく書いている方がいるので,お困りの際は qiita.com を参照のこと.

Visual Studio2015におけるPython Tools for Visual StudioのSyntax Error: (unicode error)問題

Visual Studio 2013(Python Tools for Visual Studio)までは # -*- coding: utf-8 -*- を記述していれば,日本語などをソースコード内に記述していても, エラーも吐かずに,問題なく動作していました. しかしながら, Visual Studio 2015からは,上記の文…

【平成28年度SICE四国】SCREEN feels AIR.:風の入力インターフェースの開発

平成28年度SICE四国支部学術講演会にて,投稿した予稿・発表ポスター・ショートオーラルスライドをアップロードします. 学術講演会 - 計測自動制御学会四国支部 色々と反省して修正すべき箇所などがあるのですが,戒めと今後発表する方の参考になれば良いか…

ACM 登録手順

読みたい論文が色々あるので,ACM学生会員+Digital Libraryを登録することにした. 今後,また登録することがあるかもしれないので, その時の備忘録としてここに記す. 登録は,以下のURLからアクセスされたし. Student Membership — Association for Com…

ATC001-A:深さ優先探索

A: 深さ優先探索 - AtCoder Typical Contest 001 | AtCoderの問題を解きました. AtCoder Typical Contestなので,解説は詳しく書かれており 深さ優先探索による塗りつぶしを見ればある程度わかると思います. それでは,以下にソースコードを示します. #de…

【VHDL】7セグメントLEDディスプレイ×4に対応した10進数カウンタ

VHDLによる設計 チャタリング除去回路<ELIMINATOR.vhdl> library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; use IEEE.numeric_std.all; entity ELIMINATOR is port ( CLK : in std_logic; SW : in std_logic; SOUT</eliminator.vhdl>…

【VHDL】Mealy型状態遷移回路を用いた30円の自動販売機の設計

Mealy型状態遷移回路を用いた30円の自動販売機の設計 以下に,Mealy型状態遷移回路を用いた30円の自動販売機のVHDLソースコードを表示する. -- Vending Machine of Mealy State library IEEE; use IEEE.std_logic_1164.all; entity MealyState30 is port ( …

【VHDL】デコーダ回路

3to8デコーダ回路 以下に,3to8デコーダ回路のVHDLソースコードを表示する. -- Barrel Shifter used Decoder library IEEE; use IEEE.std_logic_1164.all; entity Decoder3to8 is port ( CBA : in std_logic_vector(2 downto 0); D : out std_logic_vector(…

【VHDL】バレル・シフト回路とrange-based loop

バレル・シフト回路 以下に,バレル・シフト回路のVHDLソースコードを表示する. -- Barrel Shifter library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; entity BShifterNbit is generic (N : integer…

【VHDL】パリティ回路とセンシティビティリスト

パリティ回路 以下に,パリティ回路のVHDLソースコードを表示する. -- Even Parity Circuit library IEEE; use IEEE.std_logic_1164.all; entity ParityNbit is generic (N : integer := 4); port ( A : in std_logic_vector(N - 1 downto 0); P : out std_…

競技プログラミング Tips 01

今回は,競技プログラミングのためになる記事の紹介というか, 自分のための備忘録的なものです.

Survey 2016/04/09

Survey記録二日目です. [Survey]2016-04-09 from Keita Yamazaki 今回の件とは関係ないのですが, 研究室にハエが大量発生して,鬱陶しい件について.

Survey 2016/04/08

プログラミングのスキルの向上だけを書くブログではなく, 色々なスキル,学習記録を書くブログだったことを思い出し, 今回は,アルゴリズムの勉強とかではなく, Surveyの記録を公開しようかなと思います. [Survey]2016-04-08 from Keita Yamazaki 英語の…

ALDS1_6_B: Partition

パーティション | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは,こちら. 基準となる数字に対して,その数字より大きいか小さいかで,配列を分割するアルゴリズムです.

ALDS1_5_B: Merge Sort

マージソート | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードはこちら. 関数mergeSortにて,分割を行い,関数mergeにて,統治を行います.

ALDS1_5_C: Koch Curve

コッホ曲線 | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは以下に.

ALDS1_5_A: Exhaustive Search

全探索 | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. Pythonのソースコードはこちら. と,これをジャッジさせると,最後のケースがTLEになります. Python3の処理スピードの問題と僕の実装力の低さが原因だと思います. ということ…

ALDS1_4_D: Allocation

割り当て | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは以下に. 二分探索の応用.

ALDS1_4_C: Dictionary

探索 3 | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは以下に. ねーむー

ALDS1_4_B: Binary Search

探索 2 | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは以下に. 二分探索です.

ALDS1_4_A: Linear Search

探索 1 | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは以下に. 番兵を用いた線形探索です.

ALDS1_3_D: Areas on the Cross-Section Diagram

模式断面図の面積 | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは以下に. リストをスタックっぽく用いて解きましたが, ソートして解く方法もあるらしく そっちのほうもいつか頑張ります.

ALDS1_3_C: Doubly Linked List

双方向連結リスト | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは,以下に. 頑張ります.

ALDS1_3_B: Queue

キュー | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは以下から. Pythonの標準モジュールを使いました.

ALDS1_3_A: Stack(Python標準のpop関数を使わないver)

スタック | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. 前回のものとは違い,Python標準のpop()関数を使わないというスタンスで解きました. ソースコードは,以下に. 検索してたら,ラムダ式を辞書として保持している方がおり,す…

ALDS1_3_A: Stack

スタック | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは,以下に. ねむたいです.

ALDS1_2_D: Shell Sort

シェルソート | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは以下に. シェルソートの問題だけ入力ケースがとんでもないぐらい大きい件について.

ALDS1_2_C: Stable Sort

安定なソート | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは,以下に. Pythonの関数の引数は参照渡しであるということを知らなくて, 28行目,29行目の関数呼び出しの際に,両方ともの第一引数にRを設定していて, …

ALDS1_2_B: Selection Sort

選択ソート | アルゴリズムとデータ構造 | Aizu Online Judgeの問題を解きました. ソースコードは,以下に. 選択ソートです.このソートもとてもわかりやすいです.